研究综述:原子层刻蚀的研究进展(上)——发展概述

中国科学院大学微电子学院  研究生  陈旭

1. 什么是原子层刻蚀(Atomic Layer Etching)?

原子层刻蚀是指通过一系列的自限制反应去除单个原子层,不会触及和破坏底层以及周围材料的,一种能够精密控制被去除的材料量的先进半导体生产工艺。原子层刻蚀除了对被加工的超薄薄层的去除实现精准的控制之外,它的另外一个优点就是有着优秀的各向异性。可以最大限度的减小甚至消除由于器件的结构造成的深宽比相关刻蚀效应(ARDE)。

原子层刻蚀目前有两种实现方式,第一种方法如图1所示。图1(1)所示的是初始的衬底基质材质,之后如图1(2)通入前驱气体,对表面的中性原子、自由基或者是分子进行改性。图1(3)展示的是利用高能的中性离子或者离子对改性后的表面进行离子轰击,发生化学反应,产生易于挥发的产物如图1(4)所示。通过这样的方式,这就使得原子层刻蚀具有良好的各向异性的特点[1]。例如文献中使用三甲基铝(TMA)和氧气在GaAs的衬底上沉积至3nm的厚度,然后通入前驱气体BCl3,在使用Ar中性离子束对表面进行轰击,刻蚀速率可以达到1 Å/每周期。通过调整中性离子束的能量来精确控制刻蚀的厚度和速率[2]。

图1  (1)-(4)为原子层刻蚀的一种类型的步骤[1]

第二种方法与第一种方法较为类似,如图2(1)-(4)所示。衬底基质如图2(1)所示,经过与第一种方法相同的处理方法,通入前驱气体对衬底基质改性,如图2(2)所示。如图2(3)再通过复杂的化学试剂寻找配位体吸附或者形成新的基团,最后通过热吹扫的方式去除掉基团。例如文献中描述的,对Al2O3原子层刻蚀,将HF作为氟化反应物,将Al(Ch)3作为配体交换的金属前驱体,当温度在300摄氏度时,HF压力在6-8个托的时候,Al2O3的刻蚀速率可以到达2.5 Å/循环的饱和刻蚀速率[3]。

图2  (1)-(4)为原子层刻蚀的另一种类型的步骤[1]

2. 原子层刻蚀的发展历程

关于早期的原子层刻蚀的可能有一些误解,早在1974年,Tuomo Suntola最早利用ZnS演示了整个的ALE的过程,最早的专利是在1977年出现[4]。但是通过阅读该篇专利,我们发现此时的ALE是原子层外延(Atomic layer epitaxy)的一种术语,并不是真正的原子层刻蚀的起源。

现在原子层外延归类到原子层沉积(Atomic layer deposition),最早原子层刻蚀的英文简写是ALEt。真正的原子层刻蚀的第一篇专利是在1988年诞生[5],由Yoder在他的专利中提出从固体的表面去除单个原子层的概念,并且在专利中提到了对结晶金刚石表面进行原子层刻蚀的方法。1989年,Maki和Ehrlich利用氯的化学吸附法对GaAs进行了原子双层刻蚀,他们概述了GaAs衬底与前驱体气体氯气自限制、自发地吸附在衬底表面,形成弱结合的表面氯化层,随后氯化表面层以低通量193nm ArF准分子激光脉冲诱导,导致卤化表面层的发生刻蚀[6]。1993年Ko等人使用了一个电子回旋共振(ECR)等离子体源,该等离子体源放置在射频(RF)供电的电极上,以产生反应性自由基,并独立控制离子能量和离子通量。在室温下用低能量但高密度Ar+离子轰击表面氯化GaAs层导致刻蚀速率为0.5 nm/循环,与氯自由基或Ar+离子暴露时间无关[7]。他们还演示了诸如GaInAs,AlInAs和InP之类的其他III-V半导体的原子层刻蚀的方法[8]。与此同时,日本的石井正史等人通过使用248nm KrF准分子激光代替带电粒子束(离子,电子)照射被氯气吸附处理过的GaAs表面,通过他们的“数字刻蚀”技术获得了0.2 nm/每个周期的刻蚀速率,并且与受激准分子激光的重复速率和最初注入的氯气量无关。

第一次较为密集的论文或者热点掀起的时间节点是20世纪90年代,此时大家研究ALE主要是为了替代反应离子刻蚀(RIE),当时大家主要研究的材料多为硅和砷化镓,砷化镓由于迁移率高,当时被认为是硅的最理想替代材料。到目前为止,原子层刻蚀已经在许多种材料中开始了新的尝试,包括纯的半导体材料单晶硅、复合半导体材料砷化镓、金属氧化物氧化铝和二氧化铪、金属氮化物氮化镓、氮化钛以及纯的金属材料钨等。

如图3所示,展示了在web of science网站上搜索标题中含有ALE关键词的文献检索,对比发现,近几年ALE课题研究方向又一次掀起了热潮。我们非常认同原子层沉积工艺对原子层刻蚀工艺的诞生有较大的启发,并且互为反过程。同时,随着技术的迭代,对器件制造工艺的要求越来越严格,工艺窗口越来越小,不得不对原子层刻蚀展开更加系统和全面的研究。表1列举了近期查阅的文献中,不同的衬底材料以及不同的原子层刻蚀方法,并且做了对比。

图3  web of science对ALE的检索文献数量分布图(1995-2019)

表1  原子层刻蚀与材料的调查情况

参考文献:

[1] Carver C T, Plombon J J, Romero P E, et al. Atomiclayer etching: An industry perspective[J]. ECS Journal of Solid State Scienceand Technology, 2015, 4(6): N5005.

[2] Min K S, Kang S H, Kim J K, et al. Atomic layeretching of Al2O3 using BCl3/Ar for the interface passivation layer of III–V MOSdevices[J]. Microelectronic Engineering, 2013, 110: 457-460.

[3] Cano A M, Marquardt A E, DuMont J W, et al. Effectof HF Pressure on Thermal Al2O3 Atomic Layer Etch Rates and Al2O3Fluorination[J]. The Journal of Physical Chemistry C, 2019, 123(16):10346-10355.

[4] Suntola T, Antson J. Method for producing compoundthin films: U.S. Patent 4,058,430[P]. 1977-11-15.

[5] M. N. Yoder, US Pat. 4,756,794 A (1988).

[6] P. A. Maki and D. J. Ehrlich, Appl. Phys. Lett. 55,91 (1989).

[7] Faraz T, Roozeboom F, Knoops H C M, et al. Atomiclayer etching: what can we learn from atomic layer deposition?[J]. ECS Journalof Solid State Science and Technology, 2015, 4(6): N5023-N5032.

[8] Ko K K, Pang S W. Controllable layer‐by‐layer etchingof III–V compoundsemiconductors with an electron cyclotron resonance source[J]. Journal of VacuumScience & Technology B: Microelectronics and Nanometer StructuresProcessing, Measurement, and Phenomena, 1993, 11(6): 2275-2279.

[10] Lee Y, DuMont J W, George S M. Trimethylaluminum asthe metal precursor for the atomic layer etching of Al2O3 using sequential,self-limiting thermal reactions[J]. Chemistry of Materials, 2016, 28(9):2994-3003.

[11] Johnson N R, Sun H, Sharma K, et al. Thermal atomiclayer etching of crystalline aluminum nitride using sequential, self-limitinghydrogen fluoride and Sn (acac) 2 reactions and enhancement by H2 and Arplasmas[J]. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, andFilms, 2016, 34(5): 050603.

[12] Lim W S, Park S D, Park B J, et al. Atomic layeretching of (100)/(111) GaAs with chlorine and low angle forward reflected Neneutral beam[J]. Surface and Coatings Technology, 2008, 202(22-23): 5701-5704.

[13] Kauppinen C, Khan S A, Sundqvist J, et al. Atomiclayer etching of gallium nitride (0001)[J]. Journal of Vacuum Science &Technology A: Vacuum, Surfaces, and Films, 2017, 35(6): 060603.

[14] Lee Y, DuMont J W, George S M. Atomic layer etchingof HfO2 using sequential, self-limiting thermal reactions with Sn (acac) 2 andHF[J]. ECS Journal of Solid State Science and Technology, 2015, 4(6):N5013-N5022.

[15] Lu W, Lee Y, Murdzek J, et al. First transistordemonstration of thermal atomic layer etching: InGaAs FinFETs with sub-5 nmfin-width featuring in situ ALE-ALD[C]//2018 IEEE International ElectronDevices Meeting (IEDM). IEEE, 2018: 39.1. 1-39.1. 4.

[16] Park S D, Oh C K, Bae J W, et al. Atomic layeretching of InP using a low angle forward reflected Ne neutral beam[J]. Appliedphysics letters, 2006, 89(4): 043109.

[17] Nakane K, Vervuurt R H J, Tsutsumi T, et al. Insitu monitoring of surface reactions during atomic layer etching of siliconnitride using hydrogen plasma and fluorine radicals[J]. ACS Applied Materials& Interfaces, 2019, 11(40): 37263-37269.

[18] Shinoda K, Miyoshi N, Kobayashi H, et al.Self-limiting reactions of ammonium salt in CHF3/O2 downstream plasma forthermal-cyclic atomic layer etching of silicon nitride[J]. Journal of VacuumScience & Technology A: Vacuum, Surfaces, and Films, 2019, 37(5): 051002.

[19] Huard C M, Zhang Y, Sriraman S, et al. Atomic layeretching of 3D structures in silicon: Self-limiting and nonideal reactions[J].Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films,2017, 35(3): 031306.

[20] DuMont J W, Marquardt A E, Cano A M, et al. ThermalAtomic Layer Etching of SiO2 by a “Conversion-Etch” Mechanism Using SequentialReactions of Trimethylaluminum and Hydrogen Fluoride[J]. ACS applied materials& interfaces, 2017, 9(11): 10296-10307.

[25] Lee Y, George S M. Thermal atomic layer etching oftitanium nitride using sequential, self-limiting reactions: Oxidation to TiO2and fluorination to volatile TiF4[J]. Chemistry of Materials, 2017, 29(19):8202-8210.

[22] Xie W, Lemaire P C, Parsons G N. Thermally DrivenSelf-Limiting Atomic Layer Etching of Metallic Tungsten Using WF6 and O2[J].ACS applied materials & interfaces, 2018, 10(10): 9147-9154.

[23] Johnson N R, George S M. WO3 and W Thermal AtomicLayer Etching Using “Conversion-Fluorination” and“Oxidation-Conversion-Fluorination” Mechanisms[J]. ACS applied materials &interfaces, 2017, 9(39): 34435-34447.

[24] Chen K C, Chu T W, Wu C R, et al. Atomic layeretchings of transition metal dichalcogenides with post healing procedures:equivalent selective etching of 2D crystal hetero-structures[J]. 2D Materials,2017, 4(3): 034001.

[25] Lin T Z, Kang B T, Jeon M H, et al. Controlledlayer-by-layer etching of MoS2[J]. ACS applied materials & interfaces,2015, 7(29): 15892-15897.

(0)

相关推荐